DIFFER

F. Bijkerk

First name
F.
Last name
Bijkerk
van der Horst, R. M., Beckers, J., Osorio, E. A., Astakhov, D. I., Goedheer, W. J., Lee, C. J., et al. (2016). Exploring the electron density in plasma induced by EUV radiation: I. Experimental study in hydrogen. Journal Of Physics D: Applied Physics, 49(14), 145203. https://doi.org/10.1088/0022-3727/49/14/145203
Astakhov, D. I., Goedheer, W. J., Lee, C. J., Ivanov, V. V., Krivtsun, V. M., Koshelev, K. N., et al. (2016). Exploring the electron density in plasma induced by EUV radiation: II. Numerical studies in argon and hydrogen. Journal Of Physics D: Applied Physics, 49(29), 295204. https://doi.org/10.1088/0022-3727/49/29/295204
Zoethout, E., Louis, E., & Bijkerk, F. (2016). In depth study of molybdenum silicon compound formation at buried interfaces. Journal Of Applied Physics, 120(11), 115303. https://doi.org/10.1063/1.4962541
Astakhov, D. I., Goedheer, W. J., Lee, C. J., Ivanov, V. V., Krivtsun, V. M., Zotovich, A. I., et al. (2015). Plasma probe characteristics in low density hydrogen pulsed plasmas. Plasma Sources Science And Technology, 24(5), 055018. https://doi.org/10.1088/0963-0252/24/5/055018
Dolgov, A., Lopaev, D., Lee, C. J., Zoethout, E., Medvedev, V., Yakushev, O., & Bijkerk, F. (2015). Characterization of carbon contamination under ion and hot atom bombardment in a tin-plasma extreme ultraviolet light source. Applied Surface Science, 353, 708-713. https://doi.org/10.1016/j.apsusc.2015.06.079 (Original work published Oct 30)
Medvedev, V. V., Yang, J., Schmidt, A. J., Yakshin, A. E., van de Kruijs, R. W. E., Zoethout, E., & Bijkerk, F. (2015). Anisotropy of heat conduction in Mo/Si multilayers. Journal Of Applied Physics, 118(8), 085101. https://doi.org/10.1063/1.4928958
Gao, A., Lee, C. J., & Bijkerk, F. (2014). Graphene defect formation by extreme ultraviolet generated photoelectrons. Journal Of Applied Physics, 116, 054312. https://doi.org/10.1063/1.4892485 (Original work published Aug 7)
Yakunin, S. N., Makhotkin, I. A., Nikolaev, K. V., van de Kruijs, R. W. E., Chuev, M. A., & Bijkerk, F. (2014). Combined EUV reflectance and X-ray reflectivity data analysis of periodic multilayer structures. Optics Express, 22(17), 20076–20086. https://doi.org/10.1364/OE.22.020076 (Original work published Aug)
Huang, Q., de Boer, M., Barreaux, J., van der Meer, R., Louis, E., & Bijkerk, F. (2014). High efficiency structured EUV multilayer mirror for spectral filtering of long wavelengths. Optics Express, 22(16), 19365-19374. https://doi.org/10.1364/OE.22.019365 (Original work published Aug)
Yakunin, S. N., Makhotkin, I. A., van de Kruijs, R. W. E., Chuev, M. A., Pashaev, E. M., Zoethout, E., et al. (2014). Model independent X-ray standing wave analysis of periodic multilayer structures. Journal Of Applied Physics, 115(13), 134303. https://doi.org/10.1063/1.4869540 (Original work published Apr 7)